範文齋

位置:首頁 > 職場範文 > 實習報告

eda實習報告

  篇一:EDA實習報告

eda實習報告

貴州師範大學學生

實習報告

科目:EDA實習

專業: 電氣工程及其自動化

班級: 10電氣

姓名: 李啓應

學號: 101401010202

實驗項目名稱:數字電子鐘的設計

實驗項目性質:普通試驗

所屬課程名稱:VHDL程序設計

  一、 實驗目的

1 學習 VHDL語言的一些基本特點。

2 掌握VHDL程序的基本結構。

3掌握VHDL程序設計方法。

4 要能夠用vhdl語言讀懂並編寫eda程序,對eda設計的總體框架能有較好的把握,掌握各模塊的調用方式。

  二、 實驗內容和要求

設計一個數字時鐘,顯示時(2位),分(2位),秒(2位),具體要求是:具有時分秒計數顯示功能,以24小時循環計時;數碼管動態顯示時,分,秒;具有清零功能。

在軟件工具平臺上,進行VHDL語言的各模塊編程輸入、編譯實現和仿真驗證。

  三、 實驗主要儀器設備和材料

計算機,開發環境MAX-PLUSII,ZY11EDA實驗系統,VHDL語言。

  四、 實驗方法、步驟及結果測試

1、設計思路:

數字鐘的主體是計數器,它記錄並顯示接收到的秒脈衝個數,其中秒和分位60進制計數器,小時爲24進制計數器,分別產生3位BCD碼。BCD碼經譯碼,驅動後接數碼顯示電路。

根據實驗要求,將設計分爲5個主要部分,時功能模塊、分功能模塊、秒功能模塊、掃描儀功能模塊和7段LED功能模塊。 在時、分、秒模塊中,包括復位和預置數,其主要思路如下:

秒鐘的模塊:設計一個60進制的計數器,以clk爲其時鐘信號,每60個clk後產

生一個進位信號CF給分鐘模塊,作爲分鐘進程的響應信號。

秒鐘模塊VHDL程序見附錄1:

仿真波形如下:

封裝如下圖:

分鐘的模塊:同理於秒鐘的模塊,設計一個60進制的計數器,以CFM爲其時鐘信號,每60個CFM後產生一個進位信號CFM給小時模塊,作爲小時模塊進程的響應信號。 分鐘模塊VHDL程序見附錄二:

仿真波形如下:

封裝如下圖:

小時的模塊:爲24進制計數器,在分的進位信號CFM的激發下計數,從0到23的時候產生一個信號CFH,全部清0,重新開始計時。

小時模塊VHDL程序見附錄三:

仿真波形如下:

封裝如下圖:

掃描儀模塊:在掃描儀內部,有一個3-8譯碼器的片選信號,當3-8譯碼器的片選信號爲000時,片選信號選中7段LED模塊中的秒的個位,當3-8譯碼器的片選信號爲001時,片選信號選中7段LED模塊中的秒的十位,當3-8譯碼器的片選信號爲010時,片選信號選中7段LED模塊中的分的個位,當3-8譯碼器的片選信號爲011時,片選信號選中7段LED模塊中的分的十位,當3-8譯碼器的片選信號爲100時,片選信號選中7段LED模塊中的時的個位,當3-8譯碼器的片選信號爲101時,片選信號選中7段LED模塊中的時的十位,就這樣動態掃描,當輸入的時鐘信號頻率很高的時候,就形成了我們的時鐘。

掃描儀模塊VHDL程序見附錄四:

仿真波形如下:

封裝如下圖:

  篇二:EDA實習報告

中國地質大學(武漢)

實習名稱 :

專 業: 班級序號: 姓 名: 指導教師

實驗一 3/8 譯碼器的實現

  一. 實驗目的

1. 學習QuartusⅡ 的基本操作;

2. 熟悉教學實驗箱的使用;

3. 設計一個3/8 譯碼器;

4. 初步掌握VHDL語言和原理圖的設計輸入,編譯,仿真和調試過程;

  二. 實驗說明

.本次實驗要求應用VHDL語言實現一個3/8 譯碼器。3/8 譯碼器的邏輯功能如下:

本實驗要求使用VHDL語言描述3/8譯碼器,並在實驗平臺上面實現這個譯碼器。描述的時候要注意VHDL語言的結構和語法,並熟悉QuartusⅡ的文本編輯器的使用方法。嘗試使用不同的VHDL語言描述語句實現3/8譯碼器,並查看其RTL結構區別,理解不同描述方法對綜合結果的影響。將程序下載到實驗箱上分別用按鍵和LED作爲輸入和輸出對結果進行驗證,進一步熟悉所用EDA實驗箱系統。所用器件EDA實驗箱、EP1K10TC100-3器件。

  三 . 實驗步驟

按照教學課件《QUARTUS II 使用方法》,學習QuartusⅡ 軟件的使用方法:

1.在WINDOWS 界面雙擊QuartusⅡ 圖標進入QuartusⅡ環境;

2.單擊File 菜單下的New Project Wizard: Introduction 按照嚮導裏面的介紹新

建一個工程並把它保存到自己的路徑下面。)

3.單擊File 菜單下的New,選擇VHDL File,後單擊OK,就能創建一個後綴名爲 (*)的文本(原理圖)文件。此vhd文件名必須與設計實體名相同。另外,如果已經有設計文件存在,可以按File 菜單裏面的Open 來選擇你的文件。

4. 輸入完成後檢查並保存,編譯。

5. 改錯並重新編譯;

6. 建立仿真波形文件並進行仿真。 單擊 File 菜單下的 New, 選擇 Vector WaveformFile,單擊 OK,創建一個後綴名爲* 的仿真波形文件,按照課件上的方法編輯輸入波形,保存,進行仿真,驗證仿真結果是否正確;

7. 選擇器件及分配引腳,重新編譯;

8. 根據引腳分配在試驗箱上進行連線,使用 LED 進行顯示;

9. 程序下載,觀察實驗結果並記錄;

  四. 實驗要求

1.用VHDL語言編寫3/8譯碼器;

2.編寫3/8譯碼器模塊的源程序;

3.在QuartusII 平臺上仿真;

4.在實驗板上面實現這個3/8譯碼器。

  五、VHDL源程序:

LIBRARY IEEE;

USE _LOGIC_;

ENTITY DECO3TO8 IS

PORT (

S:IN STD_LOGIC_VECTOR(2 DOWNTO 0);- -輸入端3個端口

Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));--輸出端7個端口

END ENTITY;

ARCHITECTURE BEHAVE OF DECO3TO8 IS

BEGIN

WITH S SELECT

Y<="00000001"WHEN"000",--當S2,S1,S0是000時,第一個LED燈亮 "00000010"WHEN"001",--當S2,S1,S0是001時,第二個LED燈亮 "00000100"WHEN"010", --當S2,S1,S0是010時,第三個LED燈亮 "00001000"WHEN"011",--當S2,S1,S0是011時,第四個LED燈亮 "00010000"WHEN"100",--當S2,S1,S0是100時,第五個LED燈亮 "00100000"WHEN"101",--當S2,S1,S0是101時,第六個LED燈亮 "01000000"WHEN"110",--當S2,S1,S0是110時,第七個LED燈亮 "10000000"WHEN"111",--當S2,S1,S0是111時,第八個LED燈亮 "ZZZZZZZZ"WHEN OTHERS;

END BEHAVE;

仿真波形:

通過在實驗板上的操作,可以看到當改變S2,S1,S0的值時,對應的LED燈會亮。 心得體會

在本次實驗中我學會了用VHDL語言編寫簡單的程序,檢查程序的錯誤,如何仿真程序以及如何用實驗箱觀察實驗結果。在本次實驗中我覺得軟件應用仿真比較簡單,只是實驗箱不好用,找了好幾個才找到一個能用的實驗箱,浪費了好多時間。在以後的實習中一定要先找好好用的實驗箱。

實驗二 BCD 七段顯示譯碼器實驗

一. 實驗目的

1.瞭解和熟悉組合邏輯電路的設計方法和特點;

2. 掌握LED顯示器的工作原理;

3.設計一個BCD七段顯示的譯碼器,並在實驗箱上面實現你的譯碼器。

二. 實驗說明

LED數碼顯示器是數字系統實驗裏面經常使用的一種顯示器件,因爲它經常顯 示的是十進制或十六進制的數,所以我們就要對實驗裏面所用到的二進制數進行譯碼,將它們轉換成十進制的或是十六進制的數。LED數碼顯示器分爲共陰和共陽兩種,本實驗使用的是共陰的連接,高電平有效。輸入信號爲D0,D1,D2,D3,相應的輸出8段爲a、b、c、d、e、f、g、Dp。它們的關係表格如下:

下圖爲譯碼器邏輯圖,請按圖進行連線。

篇三:EDA實習報告

目錄

實驗一、3/8譯碼器實現 ......................................................................................................... 2

一、實習目的: ................................................................................................................... 2

二、實驗說明 ....................................................................................................................... 2

三、實驗步驟 ....................................................................................................................... 2

四、實驗結果 ....................................................................................................................... 3

實驗二、BCD七段顯示譯碼器 ............................................................................................. 4

一、實驗目的 ....................................................................................................................... 4

二、實驗說明及原理 ........................................................................................................... 4

三、實驗要求 ....................................................................................................................... 6

實驗感想一 ........................................................................................................................... 7

實驗三、分頻器的設計實現 ................................................................................................... 7

一、 實驗目的 ..................................................................................................................... 7

二、 實驗說明及原理 ......................................................................................................... 7

三、 實驗要求 ..................................................................................................................... 9

四、實驗結果 ....................................................................................................................... 9

實驗四 動態掃描數碼顯示器 ............................................................................................... 10

一、實驗目的 ..................................................................................................................... 10

二、實驗說明及原理 ......................................................................................................... 10

三、實驗要求 ..................................................................................................................... 11

四、實驗結果 ..................................................................................................................... 11

感想心得二 ......................................................................................................................... 12

實驗五 24進制數字鐘的設計 .............................................................................................. 13

一、實驗目的 ..................................................................................................................... 13

二、實驗說明及原理 ......................................................................................................... 13

三、實驗要求 ..................................................................................................................... 14

四、實驗結果 ..................................................................................................................... 15

感想心得三 ......................................................................................................................... 35

實驗一、3/8譯碼器實現

一、實習目的:

1、學習Quartus2軟件的使用方法

2、熟悉教學試驗箱的使用

3、設計一個3/8譯碼器

4、初步掌握VHDL語言和原理圖的設計輸入,編譯,仿真和調試過程

二、實驗說明

3/8譯碼器的邏輯擴展功能如下:

本實驗要求使用VHDL描述3/8譯碼器,並在實驗平臺上上面實現這個譯碼器。描述的時候注意VHDL語言的結構和語法,並熟悉Quartus2的文本編輯器的使用方法,嘗試使用不同的VHDL語言描述語句實現3/8譯碼器。將程序下載到實驗箱上,分別用按鍵和LED作爲輸入和輸出對結果進行驗證,進一步熟悉所用EDA試驗箱系統。

所用器件:

EDA試驗箱、EP1K10TC100—3器件

原理:由三個輸入端A、B、C和八個輸出端Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7組成,輸入輸出用二進制表示。

三、實驗步驟

Quartus軟件的使用方法:

1)在WINDOWS界面雙擊Quartus2圖標進入Quartus2環境

2)單擊file菜單下的New Project Wizard:Introduction 按照嚮導裏面的介紹新建一個工程並把它保存到自己的路徑下面

3)單擊file菜單下的New,選擇VHDL File,後單擊OK,就能創建一個後綴爲(*)的文件

4)輸入完成後檢查並保存,編譯

5)改錯並重新編譯

6)建立仿真波形文件並進行仿真

7)選擇器件及分配引腳,重新編譯;根據引腳分配在試驗箱上進行連線,使用LED進行顯示

8)程序下載,觀察實驗結果並記錄

四、實驗結果

1、3/8譯碼器

LIBRARY IEEE;

USE _LOGIC_;

ENTITY yima IS

PORT(A:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

DECODE38:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END;

ARCHITECTURE one OF yima IS

BEGIN

PROCESS(A)

BEGIN

CASE A IS

WHEN "000" => DECODE38 <= "10000000";

WHEN "001" => DECODE38 <= "01000000";

WHEN "010" => DECODE38 <= "00100000";

WHEN "011" => DECODE38 <= "00010000";

WHEN "100" => DECODE38 <= "00001000";

WHEN "101" => DECODE38 <= "00000100";

WHEN "110" => DECODE38 <= "00000010";

WHEN "111" => DECODE38 <= "00000001";

WHEN OTHERS => NULL;

END CASE;

END PROCESS;

END;

2、波形仿真

實驗二、BCD七段顯示譯碼器

一、實驗目的

1、瞭解和熟悉組合邏輯電路的設計方法和特點

2、掌握LED顯示器的工作原理

3、設計一個BCD七段顯示的譯碼器,並在實驗箱上實現你的譯碼器

二、實驗說明及原理

LED數碼顯示是數字系統實驗裏面經常使用的一種器件,以爲它經常顯示的是十進制或十六進制的數,所以我們就要對實驗裏面用到的二進制數進行譯碼,將它們轉換成十進制的或是十六進制的數,LED數碼顯示器分爲共陰和共陽極兩種,本實驗使用的是共陰的連接,高電平有效。輸入信號爲D0、D!、D2、D3,相應的輸出8段位a、b、c、d、e、f、g、Dp。它們的關係表格如下圖:

下圖爲譯碼器邏輯圖,請按圖進行連線。

其中A、B、C、D按撥號開關,a、b、c、d、e、f、g、Dp和使能端AN(高電平工作)接數碼顯示接口,管腳映射均爲I/O口,映射後,通過撥號開關改變輸入二進制碼,則輸出數碼管上顯示相應的數值。

在實驗中要注意顯示器上每一段LED要和程序裏面的對應。

原理:七段譯碼器是純組合電路,通常的小規模專用IC,如74或4000系列的器件只能作十進制BCD譯碼,然而數字系統中的數據處理和運算都是二進制的,所以輸出表達都是十六進制的,爲了滿足十六進制數的譯碼顯示,最方便的方法就是利用譯碼程序在FPGA/CPLD中來實現6—18作爲7段譯碼器,輸出信號LED7S的7位分別接管的7個段,高位在左,低位在右。例如當LED7S輸出爲“1101101”時,數碼管的7個段g、f、e、d、c、b、a分別接1、1、0、1、1、0、1,接有高電平的段發亮,於是數碼管顯示“5”,若考慮小數點的發光管,如果考慮需要增加h段。

篇四:EDA實訓報告

課程名稱 :指導教師 : 曹老師

班 級 : 10電子1班

姓 名 : 餘振

日 期 :

8路彩燈控制器

一:實訓題目************************ 二:實訓內容************************ 三:實訓目的'************************ 四:實訓過程************************ 五:實訓環境************************ 六:實訓總結************************ 下面就從這幾個方面進行論述:

Ⅰ:實訓項目 :8路彩燈控制器的設計。

Ⅱ:實訓內容:

1、彩燈明暗變換節拍爲0.25S和0.5S,兩種節拍交替運行。 2、演示花型3種:

(1)從左向右順次序亮,全亮後逆次序漸滅;

(2)從中間到兩邊對稱地漸亮,全亮後仍由中間向兩邊滅;

(3)8路燈分兩半,從左向右順次漸亮,全亮後則全滅。

Ⅲ:實訓目的:

1、熟練掌握模擬電路、數字邏輯電路的設計、分析、仿真及調試的方法。

2、掌握使用EDA(電子設計自動化)工具設計模擬電路、數字電路的方法,瞭解系統設計的全過程。

3、熟練掌握Multisim 2001軟件的基本操作及繪製原理圖和進行電路仿真的一般方法

4、通過對系統電路設計與製作,進一步鞏固所學的理論知識,提高分析問題和解決問題的能力。

5、通過此次實訓,引導學生提高和培養自身創新能力,爲後續課程的學習,畢業設計製作以及畢業後的工作打下堅實的基礎。·

Ⅳ:實訓過程

1. 設計方案:

總體方案設計如上圖,其中振盪器產生一個時鐘信號,然後控制器由這個時鐘信號觸發而產生已如“10000000”等的序列信號,信號通過二級管就可以控制燈的亮暗了。序列信號規律的不同便會產生不同的花型。彩燈控制器是以高低電平來控制彩燈的亮與滅。如果以某種節拍按一定規律改變彩燈的輸入電平值,控制纔等的亮與滅,即可以按預定規則就顯示一定的花型。因此彩燈控制電路需要一個能夠按一定規律輸出不同高低電平編碼信號的編碼發生器,同時還需要編碼發生器所要求的時序信號和控制信號。綜上所述,彩燈控制器應該由定時電路、控制電路、編碼發生器電路以及驅動電路組成。

2.電路方案論證:

74LS194具有雙向移位,並行輸入/輸出,保持數據和請您功能,其中S1,S0爲工作方式控制端,SL/SR爲左移/右移數據輸入端,D0.D1.D2.D3,爲並行數據輸入端,Q0---Q3依次爲由低位到高位的4位輸出端,當CR非等於零時,清零,無論其他輸入如何,寄存器清零,由4 中工作方式:當CR非等於1時,S1=S2=0,且CP爲低電平,保持功能Q0---Q3保持不變,且與CP,SR,SL信號無關。S1=0.S0=1(CP爲高電

平)有一功能,從SR端串入數據給Q0,然後按Q0-Q1-Q2-Q3依次右移。 S1=1,S0=0(CP爲高電平)左移功能,從SL端線串入數據給Q3,然後按Q3-Q2-Q1-Q0依次左移。S1=S0=1(CP爲高電平),並行輸入功能,一片74LS194,只能寄存4爲數據,而這個實驗是8 路彩燈,那麼就需要用量片或多篇74LS194級聯策劃了個多位寄存器,由於74LS194功能齊全,在實際中得到廣泛應用,該寄存器在工作控制端的作用下,能實現穿行輸入並行輸出的轉換,當S0S1=時,分別執行保持,右移,左移,並行輸入操作,右移時,串行信號從地4位片的sr輸入,左移時,串行信號從高4位片的SL輸入。

3.電路設計仿真

電路圖如下:

篇五:EDA實習報告

EDA實習報告

學院:電氣信息工程學院專業:電子信息工程班級:姓名:學號:

實習目的及要求::

1、熟悉QuartusII軟件的相關操作,掌握數字電路設計的基本流程。 2、介紹QuartusII的軟件,掌握基本的設計思路,軟件環境參數配置,仿真,管腳分配,下載等基本操作。

3、瞭解VHDL或原理圖設計方法與定製IP模塊的思想。

4、掌握並行加法器,減法器乘法器以及除法器的設計思路及工作原理。 5、設計一個能完成加減乘除功能並以十進制顯示結果的簡單計算器。 軟硬件資源

硬件:ED2-70實驗板

軟件環境:QII9.0開發系統

題目設計:這個簡單的計算器要求能夠進行簡單的四則運算,在程序設計中,通過設計、編制、調試一個模擬計算器的程序,加深對我們對編程語句及語義分析和理解,並實現對命令語句的靈活應用。這次實驗目的就是實現簡單計算器的功能。

二、流程圖

? 當輸入爲00時輸出加法結果 ? 當輸入爲01時輸出減法結果 ? 當輸入爲10時輸出乘法結果 ? 當輸入爲11時輸出除法結果

三.頂層原理圖

四個模塊輸出均爲十六進制數,爲了輸出方便觀察,設計了8位除法器,將輸出變爲十進制數顯示在數碼管上。 DATAIN[1..0]爲輸入控制端,通過試驗箱上兩個撥碼開關控制輸入。A[3..0]和B[3..0]是兩個四位二進制輸入數,當DATAIN爲00時進行加法運算,當DATAIN爲01時進行減法運算,當DATA爲10時進行乘法運算,當DATA爲11是進行除法運算。結果用十進制顯示三個共陽靜態LED數碼管上,除法的餘數單獨顯示在右下角的七段驅動共陽數碼管中的一個上。

四、各個模塊

(1)加法器模塊 1、封裝元件

當CLR爲‘1’時清零,輸出爲零

當CLR爲‘0’時,輸入兩個四位二進制數,輸出兩個數之和,S[3..0]爲和,S[4]爲進位。 2、加法器程序

library ieee;

use _logic_; use _logic_; entity choose is

port(Q:in std_logic_vector(1 downto 0);

input: in std_logic_vector(31 downto 0); output:out std_logic_vector(7 downto 0); output1:out std_logic_vector(3 downto 0)); end choose;

architecture one of choose is begin

process(Q) begin

if Q="00" then output(7 downto 0)<=input(7 downto 0); elsif Q="01" then

if input(12)=0 then output(7 downto 0)<=input(15 downto 8); else

if input(11 downto 8)="0000" then

output(7 downto 0)<="0000"&input(11 downto 8)+"01100100";

else output(7 downto 0)<="00010001"+not("0000"&input(11 downto 8))+"01100100"; end if;

end if;

elsif Q="10" then output(7 downto 0)<=input(23 downto 16);

elsif Q="11" then output(7 downto 0)<="0000"&input(31 downto 28); output1<=input(27 downto 24); end if;

end process; end one;

3、仿真結果

當CLR爲1時,輸出爲0;當CLR爲0時,進行加法運算。S[3..0]爲相加後得到的和,S[7..4]爲相加後的進位。 (2)減法器模塊 1、封裝元件

2、減法器程序

LIBRARY IEEE;

USE _LOGIC_;

USE _LOGIC_; ENTITY ADDER4B IS PORT(

CLR:IN STD_LOGIC;

A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0); S:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END ADDER4B;

標籤:EDA 實習 報告