範文齋

位置:首頁 > 個人範文 > 心得體會

eda實驗心得體會

當我們心中積累了不少感想和見解時,有這樣的時機,要好好記錄下來,這樣能夠培養人思考的習慣。但是心得體會有什麼要求呢?下面是小編整理的eda實驗心得體會,僅供參考,歡迎大家閱讀。

eda實驗心得體會

eda實驗心得體會1

短暫的一週實訓已經過去了,對於我來說這一週的實訓賦予了我太多實用的東西了,不僅讓我更深層次的對課本的理論知識深入了理解,而且還讓我對分析事物的邏輯思維能力得到了鍛鍊,提高了實際動手能力,下面談一下就這一週實訓中我自己的一些心得體會。一週的實訓已經過去了,我們在老師提供的實踐平臺上通過自己的實踐學到了很多課本上學不到的寶貴東西,熟悉了對Quartus Ⅱ軟件的一般項目的操作和學到了處理簡單問題的基本方法,更重要的是掌握了VHDL語言的基本設計思路和方法,我想這些會對我今後的學習起到很大的助推作用。此外,還要在今後的課本理論知識學習過程中要一步一個腳印的紮實學習,靈活的掌握和運用專業理論知識這樣才能在以後出去工作的實踐過程中有所成果。

最後還要感謝學校爲我們提供這樣專業的實踐平臺還有甕老師在一週實訓以來的不斷指導和同學的熱情幫助。總的來說,這次實訓我收穫很大。

同時,感謝大專兩年來所有的老師,是你們爲我解惑受業,不僅教授我專業知識,更教會我做人的道理。

這次EDA實訓讓我感覺收穫頗多,在這一週的實訓中我們不僅鞏固了以前學過的知識,而且還學到了怎樣運用EDA設計三種波形的整個過程和思路,更加強了我們動手能力,同時也提高了我們的思考能力的鍛鍊,我們在寫程序的同時還要學會要改程序,根據錯誤的地方去修改程序。

本文基於Verilog HDL的乒乓球遊戲機設計,利用Verilog HDL語言編寫程序實現其波形數據功能在分析了CPLD技術的基礎上,利用CPLD開發工具對電路進行了設計和仿真,從分離器件到系統的分佈,每一步都經過嚴格的波形仿真,以確保功能正常。

從整體上看來,實訓課題的內容實現的功能都能實現,但也存在着不足和需要進一步改進的地方,爲我今後的學習和工作奠下了堅實的基礎。通過此次的實訓課題,掌握了製作乒乓球遊戲機技術的原理及設計要領,學習並掌握了可編程邏輯電路的設計,掌握了軟件、CPLD元件的應用,受益匪淺,非常感謝甕老師這一學期來的指導與教誨,感謝老師在學習上給予的指導,老師平常的工作也很忙,但是在我們學習的過程中,重來沒有耽擱過,我們遇到問題問他,他重來都是很有耐心,不管問的學生有多少,他都細心的爲每個學生講解,學生們遇到的不能解決的,他都配合同學極力解決。最後祝願甕老師身體健康,全家幸福。

通過這次課程設計,我進一步熟悉了Verilog HDL語言的結構,語言規則和語言類型。對編程軟件的界面及操作有了更好的熟悉。在編程過程中,我們雖然碰到了很多困難和問題,到最後還是靠自己的努力與堅持獨立的完成了任務。當遇到了自己無法解決的困難與問題的.時候,要有耐心,要學會一步步的去找問題的根源,才能解決問題,還請教老師給予指導和幫助。這次實訓給我最深的印象就是擴大自己的知識面,知道要培養哪些技能對我們的專業很重要。通過這次課程設計,培養了我們共同合作的能力。但是此次設計中參考了其他程序段實際思想,顯示出我們在程序設計方面還有不足之處。

在此次實訓的過程中,我瞭解到了要加強培養動手能力,要明白理論與實踐結合的重要性,只有理論知識也是不夠的,只有把理論知識和實踐相結合,才能真正提高我們的實際動手能力與獨立思考的能力。感謝學院給我們提供這次實訓的機會,感謝甕老師對我們的指導,他是爲了教會我們如何運用所學的知識去解決實際的問題,此外,還得出一個結論:知識必須通過應用才能實現其價值!有些東西以爲學會了,但真正到用的時候才發現是兩回事,所以我認爲只有到真正會用的時候纔是真的學會了。

本次設計過程中得到我們老師的悉心指導。甕老師多次詢問設計進程,併爲我們指點迷津,幫助我們理順設計思路,精心點撥。甕老師一絲不苟的作風,嚴謹求實的態度,踏踏實實的精神,不僅授我以文,並將積極影響我今後的學習和工作。

eda實驗心得體會2

當看到這門課的時候,我最初的感覺是很無語,書本上一大堆看不懂的東西,沒有接觸過的VHDL語言和一些電路圖和實體,聽起來也是一塌糊塗,對EDA技術很陌生,也感到很茫然,也沒有信心,當接觸到可編程器件的時候,看到大家同樣感到很迷惘。

隨後在深入的學習中發現書本資料通過大量的圖示對PLD硬件特性與編程技術進行了形象的講解,不僅融合了之前學習的關於電路設計的知識還將EDA的技術加入其中。對VHDL語言的詳盡講解更是讓我深刻理解了VHDL語言的編程原理。由於本門課程是一門硬件學習課程,所以實驗必不可少。通過課程最後實驗,我體會一些VHDL語言相對於其他編程語言的特點。在接觸VHDL語言之前,我已經學習了C語言,彙編語言,而相對於這些語言的學習,VHDL具有明顯的特點。這不僅僅是由於VHDL作爲一種硬件描述語言的學習需要了解較多的數字邏輯方面的硬件電路知識,括目標芯片基本結構方面的知識更重要的是由於VHDL描述的.對象始終是客觀的電路系統。由於電路系統內部的子系統乃至部分元器件的工作狀態和工作方式可以是相互獨立、互不相關的,也可以是互爲因果的。這表明,在任一時刻,電路系統可以有許多相關和不相關的事件同時並行發生。例如可以在多個獨立的模塊中同時入行不同方式的數據交換和控制信號傳輸,這種並行工作方式是任何一種基於CPU的軟件程序語言所無法描繪和實現的。傳統的軟件編程語言只能根據CPU的工作方式,以排隊式指令的形式來對特定的事件和信息控制或接收。在CPU工作的任一時間段內只能完成一種操作。因此,任何複雜的程序在一個單CPU的計算機中的運行,永遠是單向和一維的。因而程序設計者也幾乎只以一維的思維模式就可以編程和工作了。在試驗箱上,編寫相應的軟件即可,否則,只在計算機上模擬調試軟件,則無法瞭解單片機接口中各種控制信號的使用。還可幫助學會分析問題和解決問題的能力。這在單片機實驗報告中都要體現出來。

這門課是一門理論性和實踐性都很強的專業基礎課,也是一門綜合性的技術基礎學科,它需要程序語言、數學、物理學、電子學、力學、機械等知識,同時還要掌握各種物理量的變換測定,以及實驗裝置的設計和數據分析等方面所涉及的基礎理論。許多測試理論和方法只有通過實際驗證才能加深理解並真正掌握。實驗就是使學生加深理解所學基礎知識,掌握各類典型傳感、記錄儀器的基本原理和適用範圍;具有測試系統的選擇及應用能力;具有實驗數據處理和誤差分析能力;得到基本實驗技能的訓練與分析能力的訓練,使學生初步掌握測試技術的基本方法,具有初步獨立進行電子信息工程測試的能力,對各門知識得到融會貫通的認識和掌握,加深對理論知識的理解。實驗課是本門課程的重要環節,其目的是培養學生的分析和解決實際問題的能力,從而掌握機械工程測試技術手段,爲將來從事技術工作和科學研究奠定紮實的基礎。

通過本門課程實驗,以下能力得到了較大的提高:

瞭解了可編程邏輯器件操作的原理和應用,以及試驗箱及軟件編譯環境的使用的注意事項及各種測試中不同問題的處理方法。

在學習的過程中,我深深體會到,學習不單單要將理論知識學紮實了,更重要的是實際動手操作能力,學完了課本知識,我並沒有覺得自己有多大的提高,但是在隨後的實驗過程中我真的感覺學會了很多,學到了很多知識,在實踐中更加理解了書本上的理論知識的經典所在以及這門學科的意義和用處!真心希望以後的課程都能將理論與實踐充分的結合起來,在實踐的過程中串聯書本的知識,讓理論化爲實踐的力量!

eda實驗心得體會3

本學期末我們進行了EDA實訓,我們組做的是四路智能搶答器,不過本次實訓與以往最大的不同是在熟練並掌握Verilog硬件描述語言的基礎上,運用Quartus軟件,對其進行波形以及功能的仿真。我們組搶答器的設計要求是:可容納四組參賽者,每組設置一個搶答按鈕供搶答者使用,電路具有第一搶答信號的鑑別和鎖存功能,系統具有計分、倒計時和倒計時鎖存等電路,輸入信號有:各組的搶答按鈕A、B、C、D,系統清零信號CLR,系統時鐘信號CLK,計分復位端RST,加分按鈕端ADD,計時預置控制端LDN,計時使能端EN,計時預置數據調整按鈕可以用如TA、TB表示;系統的輸出信號有:四個組搶答成功與否的指示燈控制信號輸出口可用如LEDA、LEDB、LEDC、LEDD表示,四個組搶答時的計時數碼顯示控制信號若干,搶答成功組別顯示的控制信號若干,各組計分動態顯示的控制信號若干。整個系統至少有三個主要模塊:搶答鑑別模塊、搶答計時模塊、搶答計分模塊。

實訓的第一天我們組三個人就開始對搶答器的各部分源程序進行調試,由於剛開始對於quartus2軟件用的不是很熟練,所以在第一天幾乎上沒有啥大的進展,一直都在改程序中的錯誤。在不停的重複的編譯、改錯。拿着EDA修改稿、資料書檢查出錯的地方,一邊又一遍的校對分析其中的錯誤。

在實訓中我們遇到了很多的問題。爲了解決這些問題我和他們兩個都在的想辦法通過各種渠道尋找解決問題的方法。上網查資料、問同學、圖書館查資料、問老師、自己想辦法,其實最有效的方法還是自己去想那樣學到的東西纔會更加的深刻記得時間也是最長的.,他人的幫助當然是很好的,但只是暫時的要想真正的學到東西還是要靠自己去想辦法。不能一有問題就希望要他人幫忙,一定自己先好好想想實在解決不了的再去問老師找同學。

由於在一開始的時候對quartus2軟件的不熟悉耽誤了很多的時間,在接下來的幾天裏遇到了不少的問題。剛開始的時候是源程序中的錯誤一直在那改,好不容易幾個模塊中的錯誤都一個個排除了,但當把他們放到一起時問題就又出現了。於是又開始了檢查修改,可是弄了好長時間也沒有弄明白,最後找了一個在實驗室的同學說是頂層文件有問題。於是晚上又找了些關於頂層文件資料還有課本上的例子。最後對步驟已經有了很熟練的掌握,很快就完成了程序編譯、仿真、下載到最後的調試。

紙上談來終覺淺,絕知此事要躬行。在這短暫的兩週實訓中深深的感覺到了自己要學的東西實在是太多了,自己知道的是多麼的有限,由於自身專業知識的欠缺導致了這次實訓不是進行的很順利,通過這次實訓暴露了我們自身的諸多的不足之處,我們會引以爲鑑,在以後的生活中更應該努力的學習。

雖然實訓僅僅進行了兩個星期就匆匆的結束了,但在這兩個星期中收穫還是很多的。實訓的目的是要把學過的東西拿出來用這一個星期的實訓中不僅用了而且對於quartus2軟件的使用也更加的得心應手,這次實訓提高了我們的動手能力、理論聯繫實際的能力、發現問題分析問題解決問題的能力。實訓只要你認真做了都是對自己能力一次很大的提高。

本次設計過程中得到我們老師的悉心指導。甕老師多次詢問設計進程,併爲我們指點迷津,幫助我們理順設計思路,精心點撥,時刻在幫助着我們去提高自己。甕老師一絲不苟的作風,嚴謹求實的態度,踏踏實實的精神,不僅是我學習的楷模,並將積極影響我今後的學習和工作。在此誠摯地向甕老師致謝。

標籤:心得體會 EDA